veri seciciler

  1. 4 Giriş Veri Seçici

    dört girişli veri seçici devrede G0,G1,G2 veG3 girişlerdir. S0 ve S1 ise seçici girişlerdir. Tabloda görüldüğü gibi S1=0,S0=0 olduğu zaman G0 girişi çıkışa aktarılır.S1=0,S0=1 olduğunda G1 çıkışa aktarılır.S1=0,S0=0 olduğunda G2 çıkışa aktarılır.S1=1ve S0=1 olduğunda ise G3 çıkışa...

Yeni mesajlar

Geri
Üst